본문 바로가기

Sram4

[메모리] SRAM에 데이터 쓰고 읽기 (Design with Verilog) 이전 글에서 SRAM을 Full Custom Design 했었습니다. 이제 메모리가 만들어졌다고 가정하고, 데이터를 읽고 쓰는 과정을 진행해보겠습니다. Verilog Code DUT `timescale 1ns / 1ps module sync_sram(addr, din, dout, clk, we); parameter addr_width = 8, word_depth = 256, word_width = 8; input clk, we; input [addr_width-1:0] addr; input [word_width-1:0] din; output reg [word_width-1:0] dout; reg [word_width-1:0] mem [0:word_depth-1]; always @ (posedge clk).. 2023. 1. 8.
[메모리] SRAM Full Custom Design (Layout) 이번에는 이전 글에서 만든 SRAM schematic의 Layout을 디자인할 예정입니다. Tool은 학교에서 많이 사용하는 MyCAD를 이용하였습니다. 먼저 SRAM Cell의 Layout입니다. Metal은 최소한으로 사용하기 위해 2층만 사용하였습니다. 다음은 Sense Amplifier의 Layout입니다. 이제 SRAM Cell과 Sense Amplifier를 합칠 차례입니다. 배포된 가이드 라인을 읽어보면 Design Rule이 있습니다. Metal 사이에 이격시켜야 할 거리 등이 작성되어 있으므로 꼼꼼히 읽고 설계하는 것이 중요합니다. 이렇게 설계가 끝나면 Schematic과 Layout을 시뮬레이션 해봐야 합니다. 또한 두 가지를 비교해서 잘 설계되었는지도 확인해봐야 합니다. 검증에는 DR.. 2023. 1. 8.
[메모리] SRAM Full Custom Design (Schematic) 이번 글부터 SRAM을 설계한 것을 작성할 예정입니다. SRAM은 Full custom design으로 진행하였습니다. Schematic 파트 먼저 시작하겠습니다. 먼저 6T SRAM Cell을 설계하였습니다. 6T SRAM은 4개의 NMOS와 2개의 PMOS로 구성되어 있습니다. SRAM의 Word line을 선택하기 위해 Decoder도 달아주었습니다. 마지막으로 BL과 BLB의 미세한 전압차이를 증폭시키기 위해 Sense Amplifier를 달아주었습니다. SRAM Cell 16개를 이어 붙이면 다음과 같은 Schematic이 나옵니다. BL과 BLB를 타고 내려오면 Sense Amplifier에 의해 전압차이가 증폭되어 0을 썼는지 1을 썼는지 알 수 있게 됩니다. 2023. 1. 8.
[메모리] Memory 종류 안녕하세요. 컴퓨터구조를 학습하기 전에 메모리에 대해 간단히 짚고 넘어가면 좋을 것 같습니다. 모두가 알고 있듯 우리나라는 메모리 반도체 강국입니다. 명실상부 세계 1위죠. 삼성전자는 30년이 넘는 시간 동안 메모리 반도체 분야에서 연속으로 1위를 달성하고 있습니다. 그래서 오늘은 메모리에 대해 알아보고자 합니다. Memory 종류 메모리는 크게 RAM과 ROM으로 나눌 수 있습니다. RAM (Random Access Memory) : 휘발성 메모리 ROM (Read Only Memory) : 비휘발성 메모리 먼저 ROM은 비휘발성 메모리입니다. 주로 컴퓨터를 부팅할 때 SW에 의해 동작하는게 아니라, ROM에 저장된 정보를 이용해 HW적으로 동작시킵니다. ROM은 한 번 쓰면 지울 수 없고 읽을 수만 .. 2023. 1. 8.