본문 바로가기

태그

verilog RTL SoC 논리회로 전자회로 AMBA Digital Design AXI 8대공정 반도체공정 전자회로 정리 전자회로 요약 DMAC 플립플롭 Sram 컴퓨터구조 메모리 디스플레이 Arbiter sram 설계 vsync bus CPU full custom design hsync Round robin APB 16bit cpu LRG ARM 회로이론 DIGITAL memory 디지털 Python 코딩 차량 통신 우선순위 아비터 lru arbiter lrg arbiter round-robin arbiter hold time setup time timing violation Timing analysis floating 현상 read protocol write protocol 칩 간 통신 설계 흐름 asic design flow 직접 메모리 접근 DMA 컨트롤러 AD테크놀로지 Source Follower CG Amplifier CS amplifier voltage doubler 라자비 AHB AMBA bus 확산공정 액침노광 사진공정 웨이퍼제조 분석시각화 cpu설계 cpu 작동원리 layout PnR sram layout sram schematic video generator Video Synchronize vesa spec timing standard blank pixel horizontal synchronize vertical synchronize video sync vrr refresh rate asynchronous counter synchronous counter T FlipFlop JK FlipFlop d flipflop edge detector circuit SR FlipFlop SR latch sequential circuit full subtractor half subtractor 챗GPT ChatGPT hazards Ion implantation Oxidation 감마보정 코아시아 통신 규격 deposition 조합논리회로 증착공정 combinational circuit EUV 파이썬 독학 Synchronous Skew Diffusion 칩스앤미디어 원익IPS CVD Etching 식각공정 포토공정 산화공정 잉곳 이온주입 LVDS SK하이닉스 Limiter 주성 순차논리회로 full adder half adder 반감산기 반가산기 Rectifier 데이터 분석 MOSFET arbitration 풀다운저항 풀업저항 ccp 데이콘 Photo Lithography 전가산기 ASIC pipelining Diode RISC 감산기 멀티플렉서 HDL MUX 하만 flipflop SEDEX Wafer 수직동기화 differential GATE jitter bias PVD fifo Latch Asynchronous ICP branch 비동기 주사율 de Decoder 디지털공학 아비터 VESA DRAM counter 감마 I2C DMA 디코더 경진대회 다이오드 정류기 레지스터 플라즈마 register 박람회 파이썬 can 통신 jk bosch 동기 T 반도체 취업 분석 삼성전자 프로그램 flash